SystemC

SystemC

SystemC addresses the need for a system design and verification language that spans hardware and software. It is a language built in standard C++ by extending the language with a set of class libraries created for design and verification. Users worldwide are applying SystemC to system-level modeling, abstract analog/mixed-signal modeling, architectural exploration, performance modeling, software development, functional verification, and high-level synthesis.

  • Addresses system design and verification requirements
  • Adopted widely for system-level modeling, design and verification
  • Defined by Open SystemC Initiative (OSCI) and ratified as IEEE Std. 1666™-2011

Find out more:

WHAT'S NEW

SystemC News

Presentations are now available for download for SystemC Evolution Day 2016 held on May 3, 2016.


In this SystemC community, you can quickly access forums, community uploads, and the various SystemC working groups. Explore the links under this community to learn more about all aspects of SystemC, including AMS and TLM. If there is more you'd like to see in this community, feel free to contact us.